温馨提示×

c++中vector赋值的方法是什么

c++
小亿
126
2023-12-20 11:11:46
栏目: 编程语言

在C++中,可以使用以下方法对vector进行赋值:

  1. 使用赋值操作符(=)进行赋值:
std::vector<int> vec1 = {1, 2, 3};
std::vector<int> vec2;

vec2 = vec1; // 使用赋值操作符将vec1赋值给vec2
  1. 使用assign()函数进行赋值:
std::vector<int> vec1 = {1, 2, 3};
std::vector<int> vec2;

vec2.assign(vec1.begin(), vec1.end()); // 使用assign()函数将vec1赋值给vec2
  1. 使用resize()函数进行赋值:
std::vector<int> vec1 = {1, 2, 3};
std::vector<int> vec2;

vec2.resize(vec1.size()); // 将vec2的大小调整为vec1的大小
std::copy(vec1.begin(), vec1.end(), vec2.begin()); // 将vec1的元素复制到vec2中

请注意,以上方法都是将一个vector的值复制给另一个vector,而不是共享同一块内存。如果要实现共享内存的赋值,可以使用引用或指针。

0