温馨提示×

温馨提示×

您好,登录后才能下订单哦!

密码登录×
登录注册×
其他方式登录
点击 登录注册 即表示同意《亿速云用户服务条款》

SAIL-IMX6系列中CPU ID怎么看?

发布时间:2020-07-14 10:39:07 来源:网络 阅读:208 作者:电鱼电子 栏目:系统运维

想要查看CPU的ID信息,我们可以通过cat /proc/cpuinfo命令来查看:

如图所示:

Imx6dl:

root@imx6qdlsolo:~# cat/proc/cpuinfo

processor : 0

model name : ARMv7 Processor rev 10 (v7l)

BogoMIPS : 6.00

Features : half thumb fastmult vfp edsp neonvfpv3 tls vfpd32

CPU implementer : 0x41

CPU architecture: 7

CPU variant : 0x2

CPU part : 0xc09

CPU revision : 10

processor : 1

model name : ARMv7 Processor rev 10 (v7l)

BogoMIPS : 6.00

Features : half thumb fastmult vfp edsp neonvfpv3 tls vfpd32

CPU implementer : 0x41

CPU architecture: 7

CPU variant : 0x2

CPU part : 0xc09

CPU revision : 10

Hardware : Freescale i.MX6 Quad/DualLite (DeviceTree)

Revision : 0000

Serial : 0000000000000000

root@imx6qdlsolo:~#

inx6q:

root@sabresd_6dq:/ # cat/proc/cpuinfo

processor : 0

model name : ARMv7 Processor rev 10 (v7l)

BogoMIPS : 3.00

Features : half thumb fastmult vfp edsp neonvfpv3 tls vfpd32

CPU implementer : 0x41

CPU architecture: 7

CPU variant : 0x2

CPU part : 0xc09

CPU revision : 10

processor : 1

model name : ARMv7 Processor rev 10 (v7l)

BogoMIPS : 3.00

Features : half thumb fastmult vfp edsp neonvfpv3 tls vfpd32

CPU implementer : 0x41

CPU architecture: 7

CPU variant : 0x2

CPU part : 0xc09

CPU revision : 10

processor : 2

model name : ARMv7 Processor rev 10 (v7l)

BogoMIPS : 3.00

Features : half thumb fastmult vfp edsp neonvfpv3 tls vfpd32

CPU implementer : 0x41

CPU architecture: 7

CPU variant : 0x2

CPU part : 0xc09

CPU revision : 10

processor : 3

model name : ARMv7 Processor rev 10 (v7l)

BogoMIPS : 3.00

Features : half thumb fastmult vfp edsp neonvfpv3 tls vfpd32

CPU implementer : 0x41

CPU architecture: 7

CPU variant : 0x2

CPU part : 0xc09

CPU revision : 10

Hardware : Freescale i.MX6 Quad/DualLite (DeviceTree)

Revision : 0000

Serial : 0000000000000000

root@sabresd_6dq:/ #

通过此命令,我们可以很便捷的查询到所需cpu id的相关信息,感兴趣的小伙伴可以尝试一下

向AI问一下细节

免责声明:本站发布的内容(图片、视频和文字)以原创、转载和分享为主,文章观点不代表本网站立场,如果涉及侵权请联系站长邮箱:is@yisu.com进行举报,并提供相关证据,一经查实,将立刻删除涉嫌侵权内容。

AI