温馨提示×

温馨提示×

您好,登录后才能下订单哦!

密码登录×
登录注册×
其他方式登录
点击 登录注册 即表示同意《亿速云用户服务条款》

基于QuestaSIM的SystemVerilog DPI使用方法是什么

发布时间:2021-12-17 16:29:27 来源:亿速云 阅读:318 作者:iii 栏目:互联网科技

这篇文章主要介绍“基于QuestaSIM的SystemVerilog DPI使用方法是什么”,在日常操作中,相信很多人在基于QuestaSIM的SystemVerilog DPI使用方法是什么问题上存在疑惑,小编查阅了各式资料,整理出简单好用的操作方法,希望对大家解答”基于QuestaSIM的SystemVerilog DPI使用方法是什么”的疑惑有所帮助!接下来,请跟着小编一起来学习吧!

设计和Questa SIM仿真脚本存放在工具安装目录:

<install_dir>/examples/tutorials/systemverilog/dpi_basic

在执行上脚本之前需要完成三件事:

1、创建一个文件夹,将上述文件复制到这个文件夹

2、设置QUESTA_HOME环境变量(也许你在当初安装的时候已经设置过了)

3、安装gcc-4.2.1-mingw32vc9编译器到Questa SIM的安装目录中

1 module test (); 3 typedef enum {RED, GREEN, YELLOW} traffic_signal; 5 traffic_signal light; 7 function void sv_GreenLight ();8 begin9    light = GREEN;10 end11 endfunction 13 function void sv_YellowLight ();14 begin15 light = YELLOW;16 end17 endfunction 19 function void sv_RedLight ();20 begin21 light = RED;22 end23 endfunction 25 task sv_WaitForRed ();26 begin27 #10;28 end29 endtask 31 export "DPI-C" function sv_YellowLight;32 export "DPI-C" function sv_RedLight;33 export "DPI-C" task sv_WaitForRed; 35 import "DPI-C" context task c_CarWaiting (); 37 initial38 begin39   #10 sv_GreenLight;40   #10 c_CarWaiting;41   #10 sv_GreenLight;42 end 44 endmodule

先看一下上述的测试文件test.sv

line1line44给测试平台一个名字test,在其中执行各种仿真活动

line3line5定义一个枚举变量light,这是后续操作的对象

line7~line11、line13~line17和line19~line23分别定义了SystemVerilog function,前缀sv_指明这是SystemVerilog语言编写的function

line25~line29定义了SystemVerilog task,前缀sv_指明这是SystemVerilog语言编写的task。这个task内包含了延时,所以不能用function实现。

line31~line33是SystemVerilog DPI的关键,使用关键字“export”使指定的function或task对于C语言可见,并且其名称必须放在特殊的name space中。

line35"import"声明用于将C世界的代码导入到Verilog世界中

#include "dpi_types.h"int c_CarWaiting(){    printf("There's a car waiting on theother side. \n");       printf("Initiatechange sequence ...\n");       sv_YellowLight();       sv_WaitForRed();       sv_RedLight();       return 0;}

在这里我们可以发现SystemVerilog DPI是以SystemVerilog为中心的当你希望让SystemVerilog中的function或task对C语言可见时,需要将其export到C语言世界。同样,如果你想让SystemVerilog代码看到并访问C语言世界中的某些内容,则需要将其import到SystemVerilog

在Windows平台下,我们之间双击这个windows.bat文件

vlib workvlog test.sv -dpiheader dpi_types.h foreign.cvopt +acc test -o opt_testvsim -i opt_test -do "add wave light; view source"

基于QuestaSIM的SystemVerilog DPI使用方法是什么

然后执行

VSIM 3> run# There's a car waiting on the other side.# Initiate change sequence ...

基于QuestaSIM的SystemVerilog DPI使用方法是什么

到此,关于“基于QuestaSIM的SystemVerilog DPI使用方法是什么”的学习就结束了,希望能够解决大家的疑惑。理论与实践的搭配能更好的帮助大家学习,快去试试吧!若想继续学习更多相关知识,请继续关注亿速云网站,小编会继续努力为大家带来更多实用的文章!

向AI问一下细节

免责声明:本站发布的内容(图片、视频和文字)以原创、转载和分享为主,文章观点不代表本网站立场,如果涉及侵权请联系站长邮箱:is@yisu.com进行举报,并提供相关证据,一经查实,将立刻删除涉嫌侵权内容。

AI