温馨提示×

温馨提示×

您好,登录后才能下订单哦!

密码登录×
登录注册×
其他方式登录
点击 登录注册 即表示同意《亿速云用户服务条款》

VMVare9.2, Ubuntu17.04安装VMWare Tools找不到头文件

发布时间:2020-06-24 02:34:02 来源:网络 阅读:657 作者:zhao_anan 栏目:网络安全

VMVare9.2, Ubuntu17.04安装VMWare Tools


遇到一点问题,提示找不到内核头文件,于是baidu之,作如下总结。

首先执行:

sudo apt-get install build-essential linux-headers-$(uname -r)

sudo cp /lib/modules/3.13.0-24-generic/build/include/generated/uapi/linux/version.h /lib/modules/3.13.0-24-generic/build/include/linux/

然后:

The path "" is not a valid path to the 3.13.0-24-generic kernel headers.

Would you like to change it? [yes]

Enter the path to the kernel header files for the 3.13.0-24-generic kernel? /lib/modules/3.13.0-24-generic/build/include/


The path "/lib/modules/3.13.0-24-generic/build/include/" appears to be a valid

path to the 3.13.0-24-generic kernel headers.

Would you like to change it? [no]


如果还是不能看到 目录 mnt/hgfs,则需要如下设置

sudo apt-get install open-vm-tools-dkms

查看vm模块是否被安装,执行 lsmod |grep vm,如果安装成功,则出现如下结果:

vmw_vsock_vmci_transport    32768  0

vsock                  32768  1 vmw_vsock_vmci_transport

vmxnet                 24576  0


则说明安装成功。

查看vm模块的对比信息,上面是17.04安装后的,下面是未安装的。

root@ubuntu:/mnt/hgfs# lsmod |grep vm

vmw_vsock_vmci_transport    32768  0

vsock                  32768  1 vmw_vsock_vmci_transport

vmxnet                 24576  0



vmw_balloon            20480  0

vmw_vmci               61440  2 vmw_balloon,vmw_vsock_vmci_transport

vmw_pvscsi             24576  0

vmxnet3                53248  0

vmwgfx                212992  3

ttm                    90112  1 vmwgfx

drm_kms_helper        139264  1 vmwgfx

drm                   303104  6 vmwgfx,ttm,drm_kms_helper



zhaoanan@ubuntu:~$ lsmod |grep vm

vmw_balloon            20480  0

vmw_vmci               61440  1 vmw_balloon

vmw_pvscsi             24576  0

vmxnet3                53248  0

vmwgfx                212992  3



ttm                    90112  1 vmwgfx

drm_kms_helper        139264  1 vmwgfx

drm                   303104  6 vmwgfx,ttm,drm_kms_helper




向AI问一下细节

免责声明:本站发布的内容(图片、视频和文字)以原创、转载和分享为主,文章观点不代表本网站立场,如果涉及侵权请联系站长邮箱:is@yisu.com进行举报,并提供相关证据,一经查实,将立刻删除涉嫌侵权内容。

AI