温馨提示×

温馨提示×

您好,登录后才能下订单哦!

密码登录×
登录注册×
其他方式登录
点击 登录注册 即表示同意《亿速云用户服务条款》

基于FPGA的人脸位置定位的仿真实现是怎么样的

发布时间:2021-12-18 14:12:04 来源:亿速云 阅读:116 作者:柒染 栏目:互联网科技

基于FPGA的人脸位置定位的仿真实现是怎么样的,相信很多没有经验的人对此束手无策,为此本文总结了问题出现的原因和解决方法,通过这篇文章希望你能解决这个问题。

基于FPGA的人脸位置定位的仿真实现

1 概述

在肤色识别算法中,常用的颜色空间为Ycbcr,Y代表亮度,cb代表蓝色分量,cr代表红色分量。

由于肤色在 YCbCr 空间受亮度信息的影响较小,本算法直接考虑 YCbCr 空间的 CbCr 分量,映射为两维独立分布的 CbCr 空间。在 CbCr 空间下,肤色类聚性好,利用人工阈值法将肤色与非肤色区域分开,形成二值图像。

RGB 转 YCbCr 的公式为:

Y =0.257*R+0.564*G+0.098*B+16

Cb=-0.148*R-0.291*G+0.439*B+128

Cr =0.439*R-0.368*G-0.071*B+128

对肤色进行判定的条件常使用如下判定条件:

Cb > 77&& Cb < 127

Cr > 133&& Cr < 173

本节目标:在复杂环境中识别人脸肤色,并且提取肤色,完成人脸位置的定位。

2实验程序

图1 实验原图

由于图1不是800*600的图像,我们使用画图工具将图1转化成800*600。

通过matlab查找人脸肤色范围如图2.

基于FPGA的人脸位置定位的仿真实现是怎么样的

图2 matlab查找人脸肤色范围(cb,cr)

人脸颜色转化为黑色,其他颜色转化为背景白色。

/*

Modulename:  face_location.v

Description:  face location

Data:         2018/11/21

e-mail:       137194782@qq.com

微信公众号:    FPGA开源工作室

*/

`timescale1ns/1ps

moduleface_location(

       input                            pix_clk,

       input                            reset_n,

       input          [23:0]            i_rgb,

       input          [23:0]            i_gray,

       input          [23:0]            i_ycbcr,

       input                            i_h_sync,

       input                            i_v_sync,

       input                            i_de,

       output           [23:0]          skin_binary_image,

       output           [23:0]          skin_rgb_image,

       output           [23:0]          skin_gray_image,

       output                           o_h_sync,

       output                           o_v_sync,                                                                                                 

       output                           o_de 

       );

//*****************************************

// skindetection parameter define

//*****************************************

parameterY_LOW   = 8'd35;

parameterY_HIGH  = 8'd60;

parameterCB_LOW  = 8'd93;

parameterCB_HIGH = 8'd120;

parameterCR_LOW  = 8'd135;

parameterCR_HIGH = 8'd165;

wire                [7 : 0]                 y_8b;

wire               [7 : 0]         cb_8b;

wire               [7 : 0]         cr_8b;

reg                                h_sync_delay;

reg                                v_sync_delay;                                                                                                 

reg                                de_delay; 

reg                [23:0]          skin_binary_r;

reg                [23:0]          skin_rgb_r;

reg                [23:0]          skin_gray_r;

assign  y_8b  =i_ycbcr[23:16];

assign  cb_8b = i_ycbcr[15:8];  

assign  cr_8b = i_ycbcr[7:0];

always@(posedge pix_clk or negedge reset_n) begin

  if(!reset_n) begin

    skin_binary_r <= 24'h000000;

    skin_rgb_r    <= 24'h000000;

    skin_gray_r   <= 24'h000000;

  end

  else if((cb_8b > CB_LOW && cb_8b< CB_HIGH) && (cr_8b > CR_LOW && cr_8b < CR_HIGH))begin

    skin_binary_r <= 24'b000_000;

    skin_rgb_r    <= i_rgb;

    skin_gray_r   <= i_gray;

  end

  else begin

    skin_binary_r <= 24'hfff_fff;

    skin_rgb_r    <= 24'hFFFFFF;

    skin_gray_r   <= 24'hFFFFFF;

  end

end

always@(posedge pix_clk) begin

  h_sync_delay <= i_h_sync;

  v_sync_delay <= i_v_sync;

  de_delay    <= i_de;

end

assigno_h_sync = h_sync_delay;

assigno_v_sync = v_sync_delay;

assigno_de     = de_delay;

assignskin_binary_image = skin_binary_r;

assignskin_rgb_image    = skin_rgb_r;

assignskin_gray_image   = skin_gray_r;   

endmodule

3 实验结果

基于FPGA的人脸位置定位的仿真实现是怎么样的

图3 实验结果

从图3中可以看出img5提取出了人脸肤色,img6框出了人脸范围。实验成功。

本节实验只是简单的人脸位置定位仿真程序,对于多个人脸,或者有其他类似肤色干扰暂时还不能识别。

看完上述内容,你们掌握基于FPGA的人脸位置定位的仿真实现是怎么样的的方法了吗?如果还想学到更多技能或想了解更多相关内容,欢迎关注亿速云行业资讯频道,感谢各位的阅读!

向AI问一下细节

免责声明:本站发布的内容(图片、视频和文字)以原创、转载和分享为主,文章观点不代表本网站立场,如果涉及侵权请联系站长邮箱:is@yisu.com进行举报,并提供相关证据,一经查实,将立刻删除涉嫌侵权内容。

AI