温馨提示×

温馨提示×

您好,登录后才能下订单哦!

密码登录×
登录注册×
其他方式登录
点击 登录注册 即表示同意《亿速云用户服务条款》

Testbench中的checker如何理解

发布时间:2021-12-24 10:32:24 来源:亿速云 阅读:182 作者:柒染 栏目:互联网科技

本篇文章给大家分享的是有关Testbench中的checker如何理解,小编觉得挺实用的,因此分享给大家学习,希望大家阅读完这篇文章后可以有所收获,话不多说,跟着小编一起来看看吧。

在测试平台中,从内部检查DUT的行为非常有用。 VCS提供了两种机制:assertexpect结构。如下所示:


[label:] assert (expression) [action block] [else statement]
[label:] expect (property spec) [action block]
[else statement]


   

你可以使用assert结构来检查当前仿真时间的行为,使用expect结构检查多个时钟周期的行为。

例如,在FIFO测试平台中,fifo_reset_check()task检查以确定DUT的输出是否在指定时间内的正确重置:


Testbench中的checker如何理解

fifo_reset_check()task首先检查empty在前两个时钟周期内置位。 如果empty在两个周期内没有被置位,VCS将发出以下验证错误:


Error: "fifo_test.v", 94:
fifo_test_top.test.fifo_reset_check.E1: at time 350


所有验证检查都应该有label,以便于调试。E1:expect语句阻止task执行下一行代码检查,直到成功或错误。接下来的五个A *代码行会立即检查其他输出信号是否被DUT正确置位。

以上就是Testbench中的checker如何理解,小编相信有部分知识点可能是我们日常工作会见到或用到的。希望你能通过这篇文章学到更多知识。更多详情敬请关注亿速云行业资讯频道。

向AI问一下细节

免责声明:本站发布的内容(图片、视频和文字)以原创、转载和分享为主,文章观点不代表本网站立场,如果涉及侵权请联系站长邮箱:is@yisu.com进行举报,并提供相关证据,一经查实,将立刻删除涉嫌侵权内容。

AI