#

$write

  • verilog中的$display和$wirte

    Verilog中的$display和$write任务 1、格式        $display(p1,p2, …,pn); &

    作者:lihaichuan
    2020-06-17 10:00:16