温馨提示×

温馨提示×

您好,登录后才能下订单哦!

密码登录×
登录注册×
其他方式登录
点击 登录注册 即表示同意《亿速云用户服务条款》

varnish1 服务安装及单台代理服务

发布时间:2020-07-11 15:48:04 来源:网络 阅读:191 作者:何去何从_ie 栏目:云计算

链接:https://pan.baidu.com/s/1HfbxzSQpYCyuwL2audiU6A
提取码:znwj
软件连接

首先准备环境:

上传软解包和依赖包:
libedit-devel-3.0-12.20121213cvs.el7.x86_64.rpm
python-docutils-0.11-0.2.20130715svn7687.el7.noarch.rpm
varnish-5.2.1.tgz

Varnish服务器ip 192.168.80.102

web服务器 ip 192.168.80.103

安装服务:

systemctl stop firewalld

setenforce 0

mount /dev/cdrom /mnt

yum install lrz* -y //安装下载工具

(安装上一个软件可以从真机中拖入安装包)
varnish1 服务安装及单台代理服务
yum install -y gcc gcc-c++ make //安装编译器和环境

安装依赖关系包和所需要的插件:
yum install -y \
libtool \
ncourses-devel \
pcre-devel \
libedit-devel \
libxslt \
groff \
pkgconfig \
ncurses-devel \
python-*

.

rpm -ivh libedit-devel-3.0-12.20121213cvs.el7.x86_64.rpm
rpm -ivh python-docutils-0.11-0.2.20130715svn7687.el7.noarch.rpm

tar xf varnish-5.2.1.tgz -C /opt
varnish1 服务安装及单台代理服务
cd /opt/varnish-5.2.1/ //进入解压后的文件夹中定义需要的模块

sh autogen.sh //检查系统环境
varnish1 服务安装及单台代理服务
./configure \
--prefix=/usr/local/varnish \
--enable-debugging-symbols \
--enable-developer-warnings

.

make && make install
cd /usr/local/varnish/
ln -s /usr/local/varnish/sbin/varnishd /usr/sbin/
ln -s /usr/local/varnish/bin/* /usr/local/bin/

cp /usr/local/varnish/share/doc/varnish/example.vcl /usr/local/varnish/default.vcl
//配置文件模板拷贝出来用

修改配置文件
vi default.vcl

.host = "192.168.80.103";
.port = "80";

varnish1 服务安装及单台代理服务

grep -v "#" default.vcl |grep -v "^$" //过滤空白行和注释

varnish1 服务安装及单台代理服务

.

启动服务:

varnishd -f /usr/local/varnish/default.vcl -a 0.0.0.0:80
//#指定监听的ip和端口启动varnish

netstat -anpt | grep varnishd

varnish1 服务安装及单台代理服务

到此,Varnish服务器基本配置完成

在一台80.103虚拟机上搭建一个web服务器:

systemctl stop firewalld
setenforce 0
yum install -y httpd

vi /etc/httpd/conf/httpd.conf
varnish1 服务安装及单台代理服务
#去掉注释符号
systemctl start httpd //启动服务

cd /var/www/html/
<h2>Server 0</h2>
varnish1 服务安装及单台代理服务

varnish1 服务安装及单台代理服务
测试,在windows浏览器中输入http://192.168.80.102(varnish服务器的ip地址)

向AI问一下细节

免责声明:本站发布的内容(图片、视频和文字)以原创、转载和分享为主,文章观点不代表本网站立场,如果涉及侵权请联系站长邮箱:is@yisu.com进行举报,并提供相关证据,一经查实,将立刻删除涉嫌侵权内容。

AI