温馨提示×

温馨提示×

您好,登录后才能下订单哦!

密码登录×
登录注册×
其他方式登录
点击 登录注册 即表示同意《亿速云用户服务条款》

oracle数据库安装

发布时间:2020-05-29 09:13:45 来源:网络 阅读:225 作者:xuetengliu 栏目:关系型数据库

服务器准备

    系统为centos6.5版本64位。数据库为oracle11G企业版11.2.0.1.0

二服务器环境配置

1.

groupadd oinstall 

groupadd dba 

useradd -g oinstall -G dba  oracle

passwd oracle 


2.

mkdir -p /u01/app/oracle/product/11.2.0/db_1 

chown -R oracle:oinstall /u01 

chmod -R 775 /u01 


3.

vim /etc/sysctl.conf 

kernel.shmmni = 4096

kernel.sem = 250 32000 100 128

fs.file-max = 6815744

fs.aio-max-nr = 1048576

net.ipv4.ip_local_port_range = 9000 65500

net.core.rmem_default = 262144

net.core.rmem_max = 4194304

net.core.wmem_default = 262144

net.core.wmem_max = 1048576

kernel.shmall = 2097152

kernel.shmmax =  6442450944

kernel.shmmni = 4096

vm.min_free_kbytes = 512000

vm.swappiness = 100

保存退出 文件里面本身重复的注释掉

sysctl -p


4

cat >> /etc/security/limits.conf  <<H

oracle soft nproc 2047 

oracle hard nproc 16384 

oracle soft nofile 4096 

oracle hard nofile 65536 

oracle soft stack 10240 


yum install binutils compat-libstdc++-33 compat-libstdc++-33.i686 elfutils-libelf elfutils-libelf-devel gcc gcc-c++ glibc glibc.i686 glibc-common glibc-devel glibc-devel.i686 glibc-headers ksh libaio libaio.i686 libaio-devel libaio-devel.i686 libgcc libgcc.i686 libstdc++ libstdc++.i686 libstdc++-devel make sysstat unixODBC unixODBC-devel -y


5


cat >>/home/oracle/.bash_profile<<endf

TMP=/tmp;export TMP 

TMPDIR=\$TMP;export TMPDIR 

ORACLE_BASE=/u01/app/oracle;export ORACLE_BASE 

ORACLE_HOME=\$ORACLE_BASE/product/10.2.0/db_1;export ORACLE_HOME 

ORACLE_SID=orcl;export ORACLE_SID 

LD_LIBRARY_PATH=\$ORACLE_HOME/lib:/lib:/usr/lib; export LD_LIBRARY_PATH

PATH=\$ORACLE_HOME/bin:\$PATH; export PATH

endf



xhost +

su - oracle

source /home/oracle/.bash_profile

#gunzip /tmp/10201_database_linux_x86_64.cpio.gz

#cpio -idmv < /tmp/10201_database_linux_x86_64.cpio

#tar -zxvf $path -C $install_path

cd $install_path

./runInstaller






向AI问一下细节

免责声明:本站发布的内容(图片、视频和文字)以原创、转载和分享为主,文章观点不代表本网站立场,如果涉及侵权请联系站长邮箱:is@yisu.com进行举报,并提供相关证据,一经查实,将立刻删除涉嫌侵权内容。

AI