温馨提示×

温馨提示×

您好,登录后才能下订单哦!

密码登录×
登录注册×
其他方式登录
点击 登录注册 即表示同意《亿速云用户服务条款》

sublime text3 verilog代码编写的操作示例

发布时间:2021-01-13 09:36:03 来源:亿速云 阅读:252 作者:小新 栏目:软件技术

这篇文章主要介绍sublime text3 verilog代码编写的操作示例,文中介绍的非常详细,具有一定的参考价值,感兴趣的小伙伴们一定要看完!

gvim的用法

sublime text3 verilog代码编写的操作示例

sublime text3 verilog代码编写的操作示例

sublime text3 verilog代码编写的操作示例

我想信你也会惊讶,很崇拜。当然我也学会了,这种快捷命令缩短时间,减少了重复性编写,大大提高编写效率。但是遇到一个问题,gvim没有关键字补全(谢谢大佬纠正,gvim关键字补全可以在配置文件添加,有兴趣的朋友可以尝试一下)和选中全亮,所以对于我这种手残党,很容易敲错,有没提示,最后综合的时候全是错,难道没有那么完美的编辑器吗?答案当然是有的啦,而且很强大,好啦现在进入正题,跟我操作一波吧

1.先去官网下载sublime text3 http://www.sublimetext.com/,安装直接装就行

2安装 Package Control 插件,自动管理安装插件

默认是没有安装 Package Control 的,需要我们手动安装:

  Ctrl ~ 调出控制台,在控制台中粘贴以下命令:

import urllib.request,os; pf = 'Package Control.sublime-package'; ipp = sublime.installed_packages_path(); urllib.request.install_opener( urllib.request.build_opener( urllib.request.ProxyHandler()) ); open(os.path.join(ipp, pf), 'wb').write(urllib.request.urlopen( 'http://sublime.wbond.net/' + pf.replace(' ','%20')).read())

  安装完成之后,重启即可

3.安装汉化插件

  ctrl+shift+p 弹出搜索框输入 install,

sublime text3 verilog代码编写的操作示例

  点击第一个进入,等一会重新弹出一个框,输入 ChineseLocalizations ,然后点击安装就行,然后在菜单栏的 help里最后一行就有language选项,选简体中文就OK啦

sublime text3 verilog代码编写的操作示例

4安装verilog插件和汉化一样的流程 最后输入verilog,选择第一个安装即可,然后在菜单栏   视图  语法,里面就有verilog选项

sublime text3 verilog代码编写的操作示例

到目前sublime 只是实现了notepad++ 的功能,接下来实现gvim的功能

5.安装 快捷插件,

链接:https://pan.baidu.com/s/1Szq3HfsvzpYP5Ophh_W9zA
提取码:whxb

下载这三个插件,解压到这个红色框的路径下,这个路径不是安装的路径,不管你装在那个盘,都会有这个路径,我是win7系统,其他系统没测试过,应该不会有太多出入,黄色框就是这三个插件,sublime会自动识别并添加这三个插件。绿色框里面存放了verilog快捷的片段代码

sublime text3 verilog代码编写的操作示例

打开这个文件如下,一个文件是一种片段功能,就如文件名一样,

sublime text3 verilog代码编写的操作示例

选中第一个文件,邮件选择sublime打开。黄色框就是代码片段,红色框是快捷命令,白色框是生成代码片段后鼠标的位置。

sublime text3 verilog代码编写的操作示例

再如下图,${x}这个是鼠标位置,里面的数字是操作顺序,${1}是生成当前片段后鼠标自动跳到这个${1}位子,${1}位子编写完后可以按table键跳到${2}位置继续编写,以此类推。这是一个强大的功能。

sublime text3 verilog代码编写的操作示例

6.完成后,来欣赏一下,大神级别的操作吧

sublime text3 verilog代码编写的操作示例

7.注册激活

菜单栏Help - Enter License输入下面注册码即可,如果失效,自己百度一下都有的

—– BEGIN LICENSE SJOLZY.CN —–
Alexey Plutalov
Single User License
EA7E-8607763DC19CC1 134CDF23 504DC871 2DE5CE55
585DC8A6 253BB0D9 637C87A2 D8D0BA85
AAE574AD BA7D6DA9 2B9773F2 324C5DEF
17830A4E FBCF9D1D 182406E9 F883EA87
E585BBA1 2538C270 E2E857C2 194283CA
7234FF9E D0392F93 1D16E021 F1914917
63909E12 203C0169 3F08FFC8 86D06EA8
73DDAEF0 AC559F30 A6A67947 B60104C6
—— END LICENSE ——

总结:做FPGA开发很慢,为了提高工作效率,像这样的编写代码很爽,但是不适合入门就上手,如果你刚入门建议还是老实用开发软件自带的吧,一个一个的敲,熟悉关键字,一步一个脚印,把基础做扎实。还有这些快捷片段根据自己习惯修改。

sublime很强大,这只是一小部分,自己多百度有很多大陆等待发现。有大神需要补充的欢迎交流,也欢迎指出错误!!!!!

2018.11.19

1.更新两个主题插件

agila theme 和 boxy theme

预览一下界面效果,看着很舒服

sublime text3 verilog代码编写的操作示例

安装与上面的操作一样,在配色方案里面选择下图所示

这两个主题插件有很多效果,自己可是这配一下,适合自己才是最好的

sublime text3 verilog代码编写的操作示例

2.再补充几个快捷操作

1)鼠标中间  列操作

sublime text3 verilog代码编写的操作示例

2)ctrl+shift+D  复制粘贴当前这行

以上是“sublime text3 verilog代码编写的操作示例”这篇文章的所有内容,感谢各位的阅读!希望分享的内容对大家有帮助,更多相关知识,欢迎关注亿速云行业资讯频道!

向AI问一下细节

免责声明:本站发布的内容(图片、视频和文字)以原创、转载和分享为主,文章观点不代表本网站立场,如果涉及侵权请联系站长邮箱:is@yisu.com进行举报,并提供相关证据,一经查实,将立刻删除涉嫌侵权内容。

AI