温馨提示×

温馨提示×

您好,登录后才能下订单哦!

密码登录×
登录注册×
其他方式登录
点击 登录注册 即表示同意《亿速云用户服务条款》

怎么进行ModelSim独立仿真ISE的仿真工程

发布时间:2021-12-22 14:27:33 来源:亿速云 阅读:219 作者:柒染 栏目:互联网科技

本篇文章为大家展示了怎么进行ModelSim独立仿真ISE的仿真工程,内容简明扼要并且容易理解,绝对能使你眼前一亮,通过这篇文章的详细介绍希望你能有所收获。

详细操作步骤

  在把FPGA设计转化为ASIC设计的过程中,有一个非常重要的步骤必不可少,那就是替换掉原FPGA工具自动生成的IP核,在替换的过程中,需要做的一个非常重要的步骤就是需要用仿真工具单独对设计进行仿真,因为原来的仿真可能是用FPGA工具的联合仿真。本文就介绍一种ISE联合仿真转换为Moldelsim单独仿真的方法,欢迎探讨。以下是详细操作步骤。

1、复制所需文件。

在ISE工程里面某个位置建立新文件夹,我的文件夹名为tb_new。

怎么进行ModelSim独立仿真ISE的仿真工程

找到仿真顶层的tb文件,cut_through_top_tb。

怎么进行ModelSim独立仿真ISE的仿真工程

在ise的工程目录下,注意是工程目录下,找到跟顶层cut_through_top_tb相关的以下三个文件,拷贝只新建的modelsim仿真工程目录下。

怎么进行ModelSim独立仿真ISE的仿真工程

  在ISE工程文件夹中找到所需的文件,并复制到tb_new中,所需的文件见下图所示。

怎么进行ModelSim独立仿真ISE的仿真工程

2、将cut_through_top_tb.fdo中的路径修改为绝对路径或者是相对tb_new目录下的modelsim工程的相对路径。

怎么进行ModelSim独立仿真ISE的仿真工程

后续的查看波形的命令,我选择注释掉。这样子可以很方便的自主操作。

怎么进行ModelSim独立仿真ISE的仿真工程

3、启动modelsim,新建工程,在Project_location选步骤1新建的文件夹tb_new

怎么进行ModelSim独立仿真ISE的仿真工程

4、点击close,关闭窗口。

怎么进行ModelSim独立仿真ISE的仿真工程

5、在命令行里输入do cut_through_top_tb.fdo,运行,即可看到编译等过程。

怎么进行ModelSim独立仿真ISE的仿真工程

6、添加波形信号,run,就能看到仿真波形了。

怎么进行ModelSim独立仿真ISE的仿真工程

 

遇到的问题

1、用ISE调用modelsim仿真可能出现这个问题:

怎么进行ModelSim独立仿真ISE的仿真工程

打开ISE工程,右击SimulateBehavioral Model

怎么进行ModelSim独立仿真ISE的仿真工程

找到Compliled Library Directory

怎么进行ModelSim独立仿真ISE的仿真工程

将路径改为ISE的库文件所在目录,然后就可以仿真了。

怎么进行ModelSim独立仿真ISE的仿真工程

2、如果出现下图的问题,则把modelsim安装路径里的modelsim.ini拷贝到工程目录下就能解决。

怎么进行ModelSim独立仿真ISE的仿真工程

3、如果仿真时点击run等没反应,则打开tb_top.fdo文件(本文档的仿真工程为tb_top),把208行的路径修改之后就能运行了。

怎么进行ModelSim独立仿真ISE的仿真工程

上述内容就是怎么进行ModelSim独立仿真ISE的仿真工程,你们学到知识或技能了吗?如果还想学到更多技能或者丰富自己的知识储备,欢迎关注亿速云行业资讯频道。

向AI问一下细节

免责声明:本站发布的内容(图片、视频和文字)以原创、转载和分享为主,文章观点不代表本网站立场,如果涉及侵权请联系站长邮箱:is@yisu.com进行举报,并提供相关证据,一经查实,将立刻删除涉嫌侵权内容。

AI