温馨提示×

温馨提示×

您好,登录后才能下订单哦!

密码登录×
登录注册×
其他方式登录
点击 登录注册 即表示同意《亿速云用户服务条款》

C语言中怎么设置时钟

发布时间:2021-11-23 09:23:44 来源:亿速云 阅读:127 作者:iii 栏目:互联网科技

本篇内容介绍了“C语言中怎么设置时钟”的有关知识,在实际案例的操作过程中,不少人都会遇到这样的困境,接下来就让小编带领大家学习一下如何处理这些情况吧!希望大家仔细阅读,能够学有所成!

#define APLL_LOCK (*((volatile unsigned long *)0x7E00F000))
#define MPLL_LOCK (*((volatile unsigned long *)0x7E00F004))
#define EPLL_LOCK (*((volatile unsigned long *)0x7E00F008))

#define OTHERS    (*((volatile unsigned long *)0x7e00f900))

#define CLK_DIV0  (*((volatile unsigned long *)0x7E00F020))

#define ARM_RATIO    0   /* ARMCLK = DOUTAPLL / (ARM_RATIO + 1)    */
#define HCLKX2_RATIO 4   /* HCLKX2 = HCLKX2IN / (HCLKX2_RATIO + 1) = 100MHz */
#define HCLK_RATIO   0   /* HCLK = HCLKX2 / (HCLK_RATIO + 1)   = 100MHz       */
#define PCLK_RATIO   1   /* PCLK   = HCLKX2 / (PCLK_RATIO + 1) = 50MHz    */
#define MPLL_RATIO   0   /* DOUTMPLL = MOUTMPLL / (MPLL_RATIO + 1)     */


#define APLL_CON  (*((volatile unsigned long *)0x7E00F00C))
#define APLL_CON_VAL  ((1<<31) | (250 << 16) | (3 << 8) | (1))

#define MPLL_CON  (*((volatile unsigned long *)0x7E00F010))
#define MPLL_CON_VAL  ((1<<31) | (250 << 16) | (3 << 8) | (1))

#define CLK_SRC  (*((volatile unsigned long *)0x7E00F01C))

void clock_init(void)
{
    /*将每一个 LOCK_TIME 设置为 0xffff*/
    APLL_LOCK = 0xffff;
    MPLL_LOCK = 0xffff;
    EPLL_LOCK = 0xffff;

    /* set async mode 当CPU时钟 != HCLK时,要设为异步模式 */
    OTHERS &= ~0xc0;   //将 OTHERS 的第六和第七位清零,以设置成异步模式。
    while ((OTHERS & 0xf00) != 0);
    /*CLK_DIV是为外设进行分频的寄存器,共三个.CLK_DIV0、CLK_DIV1、CLK_DIV2。对于设置内核时钟和AHB、APB的总线时钟来说,我们只需要了解CLK_DIV0的几个位域即可。*/
    CLK_DIV0 = (ARM_RATIO) | (MPLL_RATIO << 4) | (HCLK_RATIO << 8) | (HCLKX2_RATIO << 9) | (PCLK_RATIO << 12);
    
    APLL_CON = APLL_CON_VAL;  /* 500MHz */
    MPLL_CON = MPLL_CON_VAL;  /* 500MHz */

    CLK_SRC = 0x03;//打开时钟源开关,让进过LOCK_TIME后变得稳定后的时钟源输出。
}

注意:OTHERS寄存器中的syncmode和syncmuxsel这两个位应该是协同工作的,一起置1或清0。syncmode要置1,就先向syncmuxsel位写1;syncmode清0后,随即再把syncmuxsel位也清0。

“C语言中怎么设置时钟”的内容就介绍到这里了,感谢大家的阅读。如果想了解更多行业相关的知识可以关注亿速云网站,小编将为大家输出更多高质量的实用文章!

向AI问一下细节

免责声明:本站发布的内容(图片、视频和文字)以原创、转载和分享为主,文章观点不代表本网站立场,如果涉及侵权请联系站长邮箱:is@yisu.com进行举报,并提供相关证据,一经查实,将立刻删除涉嫌侵权内容。

AI