温馨提示×

温馨提示×

您好,登录后才能下订单哦!

密码登录×
登录注册×
其他方式登录
点击 登录注册 即表示同意《亿速云用户服务条款》

Modelsim下uvm环境的搭建

发布时间:2021-09-01 12:50:16 来源:亿速云 阅读:186 作者:chen 栏目:大数据

本篇内容介绍了“Modelsim下uvm环境的搭建”的有关知识,在实际案例的操作过程中,不少人都会遇到这样的困境,接下来就让小编带领大家学习一下如何处理这些情况吧!希望大家仔细阅读,能够学有所成!

1.  下载modelsim软件

下载modelsim,这里用的是modelsim10.4版本。下载地址:https://pan.baidu.com/s/1wnCwlQ2EblCkKHFOM6gEyw 提取码:772l 。

完成下载和安装,在安装文件夹中可以看到uvm-1.1d,这是我们使用的uvm版本。在uvm-1.1d/win64下有uvm_dpi.dll文件,这是已经编译过的uvm库。

Modelsim下uvm环境的搭建

2.  编写文件

以“hello,world”为例,编写uvm源文件如下:

`timescale 1ns/1ps

`include "uvm_macros.svh"

import uvm_pkg::*;

module hello;

initial begin

`uvm_info("info", "Hello world!!!", UVM_LOW)

end

endmodule

后编写一个windows下的执行程序:run.bat。

Modelsim下uvm环境的搭建

其中只有一句话:

Modelsim下uvm环境的搭建

这个就是运行modelsim脚本文件sim.do。

Sim.do文件内容如下:

set UVM_DPI_HOME E:/modeltech74_10.4/uvm-1.1d/win64

vlib work

vlog -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF hello.sv

vsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi work.hello

run 100

第一句话是设置uvm环境变量,指定uvm的dpi位置。

第二句话是创建work工作目录。

第三句话是编译源文件,并且通过-L指定几个编译库。

第三句是执行仿真,调用uvm库uvm_dpi。

第四句话是运行多长时间:100ns。

3.  执行

双击run.bat,然后会调用modelsim进行编译和仿真。

Modelsim下uvm环境的搭建

Modelsim下uvm环境的搭建

“Modelsim下uvm环境的搭建”的内容就介绍到这里了,感谢大家的阅读。如果想了解更多行业相关的知识可以关注亿速云网站,小编将为大家输出更多高质量的实用文章!

向AI问一下细节

免责声明:本站发布的内容(图片、视频和文字)以原创、转载和分享为主,文章观点不代表本网站立场,如果涉及侵权请联系站长邮箱:is@yisu.com进行举报,并提供相关证据,一经查实,将立刻删除涉嫌侵权内容。

AI