温馨提示×

温馨提示×

您好,登录后才能下订单哦!

密码登录×
登录注册×
其他方式登录
点击 登录注册 即表示同意《亿速云用户服务条款》

大数据开发中如何设置输入延时约束

发布时间:2022-01-15 11:56:05 来源:亿速云 阅读:197 作者:小新 栏目:互联网科技

这篇文章将为大家详细讲解有关大数据开发中如何设置输入延时约束,小编觉得挺实用的,因此分享给大家做个参考,希望大家阅读完这篇文章后可以有所收获。

大数据开发中如何设置输入延时约束

这是一个经典的时序分析模型,上游芯片将时钟发送给FPGA,对于内部同步元件之间的可以使用create_clock进行约束,对于外部芯片发送数据通过FPGA端口到达FPGA内部第一级接受触发器使用set_input_delay进行约束,对于FPGA的末级触发器通过端口到达下游芯片使用set_output_delay进行约束。输入端口到输出端口的组合逻辑使用set_max_delay进行约束。 大数据开发中如何设置输入延时约束

图中trace_delay指的是板级延迟,输入延迟指的是上游芯片的时钟沿发出数据到FPGA接收到数据所需的时间input_delay。

Input_delay = Tco(clock output) + TD(trace delay)

大数据开发中如何设置输入延时约束
大数据开发中如何设置输入延时约束
最大延迟可以构建建立时间约束,最小延迟可以构建保持时间约束。

大数据开发中如何设置输入延时约束DDR的约束:在上升沿和下降沿都进行数据采样

set_input_delay 1 -min -clock clk [get_ports data_in]

set_input_delay 2 -max -clock clk [get_ports data_in]

set_input_delay 1 -min -clock clk [get_ports data_in] -clock_fall -add_delay(防止语句覆盖掉前面的语句,需要加add_delay)

set_input_delay 2 -max -clock clk [get_ports data_in] -clock_fall -add_delay

大数据开发中如何设置输入延时约束
set tco_max 2,0

set td_max 3.0

set tco_min 0.0

set td_min 0.0

create_clock -name sysclk -period 10 [get_ports clkin]  //创建系统时钟

set_input_delay -clock sysclk -max [expr {$tco_max+$td_max}] [get_points din]

set_input_delay -clock sysclk -min [expr {$tco_min+$td_min}] [get_points din]

关于“大数据开发中如何设置输入延时约束”这篇文章就分享到这里了,希望以上内容可以对大家有一定的帮助,使各位可以学到更多知识,如果觉得文章不错,请把它分享出去让更多的人看到。

向AI问一下细节

免责声明:本站发布的内容(图片、视频和文字)以原创、转载和分享为主,文章观点不代表本网站立场,如果涉及侵权请联系站长邮箱:is@yisu.com进行举报,并提供相关证据,一经查实,将立刻删除涉嫌侵权内容。

AI