温馨提示×

温馨提示×

您好,登录后才能下订单哦!

密码登录×
登录注册×
其他方式登录
点击 登录注册 即表示同意《亿速云用户服务条款》
  • 首页 > 
  • 教程 > 
  • 互联网科技 > 
  • AD9361+ZC706利用TCL构建Vivado工程及利用no-OS-master搭建SDK工程的示例分析

AD9361+ZC706利用TCL构建Vivado工程及利用no-OS-master搭建SDK工程的示例分析

发布时间:2021-12-13 09:27:46 来源:亿速云 阅读:564 作者:柒染 栏目:互联网科技

这期内容当中小编将会给大家带来有关AD9361+ZC706利用TCL构建Vivado工程及利用no-OS-master搭建SDK工程的示例分析,文章内容丰富且以专业的角度为大家分析和叙述,阅读完这篇文章希望大家可以有所收获。

1  HDL源码下载:ADI Reference Designs HDL User Guide

  1. Introduction

  2. Git Repository

  3. Releases and supported tool versions

  4. Building & Generating programming files

  5. Running on hardware

  6. Architecture

  7. IP Cores

  8. Using and modifying the HDL design

2  C源代码下载:no_os

3  利用源码构建Vivado工程

   3.1  在1节中选择Releases and supported tool versions就可跳转到HDL的源码下载地址,根据你的vivado版本下载相应的源码包,我的为Vivado 2015.4所以下载了hdl_2016_r1。

    AD9361+ZC706利用TCL构建Vivado工程及利用no-OS-master搭建SDK工程的示例分析

 3.2  解压HDL源码包,利用G:\hdl-hdl_2016_r1\projects\fmcomms2\zc706中的文件构建vivado工程,因为其需要G:\hdl-hdl_2016_r1\projects\Library中的很多IP库,所以首先需要编译库文件,因为我们需要的是AD93161,所以将AXI_AD9361和其他非AD库的驱动库都进行编译。

     AD9361+ZC706利用TCL构建Vivado工程及利用no-OS-master搭建SDK工程的示例分析

编译源码

AD9361+ZC706利用TCL构建Vivado工程及利用no-OS-master搭建SDK工程的示例分析

3.4 将库文件编译好后,就可以利用G:\hdl-hdl_2016_r1\projects\fmcomms2\zc706中源码和TCL构建ZC706工程了。打开Vivado工具,在界面下方的tcl console中输入:cd G:/hdl-hdl_2016_r1/projects/fmcomms2/zc706进入工程目录,输入source ./system_project.tcl开始构建工程。

注意:确保G:\hdl-hdl_2016_r1\projects\scripts\adi_project.tcl的Vivado版本号同你使用的版本号一致,我的是2015.4。

AD9361+ZC706利用TCL构建Vivado工程及利用no-OS-master搭建SDK工程的示例分析

3.5 构建完工程后,编译综合,生成.bit文件,导入SDK中,创建一个新工程。(此详细步骤略,可参考其他教程)

4 利用导入的SDK工程,将no-OS-master中的源码加入进行编译,完成工程的构建。

注意:

将ad9361源码拷贝到刚创建的SDK工程中src中,如

G:\1\hdl-hdl_2016_r1\projects\fmcomms2\zc706\fmcomms2_zc706.sdk\ad9361\src。

AD9361+ZC706利用TCL构建Vivado工程及利用no-OS-master搭建SDK工程的示例分析

  刚加入的源代码

AD9361+ZC706利用TCL构建Vivado工程及利用no-OS-master搭建SDK工程的示例分析

上述就是小编为大家分享的AD9361+ZC706利用TCL构建Vivado工程及利用no-OS-master搭建SDK工程的示例分析了,如果刚好有类似的疑惑,不妨参照上述分析进行理解。如果想知道更多相关知识,欢迎关注亿速云行业资讯频道。

向AI问一下细节

免责声明:本站发布的内容(图片、视频和文字)以原创、转载和分享为主,文章观点不代表本网站立场,如果涉及侵权请联系站长邮箱:is@yisu.com进行举报,并提供相关证据,一经查实,将立刻删除涉嫌侵权内容。

AI